{
  "version": 1,
  "author": "Uri Shaked",
  "editor": "wokwi",
  "parts": [
    {
      "type": "wokwi-dip-switch-8",
      "id": "sw1",
      "top": -178.4,
      "left": -102.9,
      "rotate": 90,
      "attrs": {}
    },
    {
      "type": "wokwi-clock-generator",
      "id": "clock1",
      "top": -278.4,
      "left": -134.4,
      "attrs": {}
    },
    { "type": "wokwi-gnd", "id": "pwr2", "top": 68.26, "left": 1221.44, "attrs": {} },
    { "type": "wokwi-vcc", "id": "pwr1", "top": -229.64, "left": -115.2, "attrs": {} },
    {
      "type": "chip-input-8-pins",
      "id": "chip1",
      "top": -190.98,
      "left": -4.8,
      "attrs": { "verilogRole": "input" }
    },
    {
      "type": "chip-output-8-pins",
      "id": "chip2",
      "top": -37.69,
      "left": 1018.73,
      "attrs": { "verilogRole": "output" }
    },
    {
      "type": "wokwi-7segment",
      "id": "sevseg1",
      "top": -29.95,
      "left": 1211.01,
      "attrs": { "common": "cathode" }
    },
    { "type": "wokwi-slide-switch", "id": "sw2", "top": -302.8, "left": -35.3, "attrs": {} },
    {
      "type": "wokwi-pushbutton",
      "id": "btn1",
      "top": -354.6,
      "left": -146,
      "attrs": { "color": "grey", "label": "Step", "bounce": "0" }
    },
    { "type": "wokwi-vcc", "id": "pwr3", "top": -392.84, "left": -86.4, "attrs": {} },
    { "type": "wokwi-gate-xor-2", "id": "gate3", "top": 317.04, "left": 359.6, "attrs": {} },
    { "type": "wokwi-mux-2", "id": "mux1", "top": -11.1, "left": 187.54, "attrs": {} },
    { "type": "wokwi-flip-flop-d", "id": "flipflop1", "top": -24.6, "left": 679.82, "attrs": {} },
    { "type": "wokwi-flip-flop-d", "id": "flipflop2", "top": 28.53, "left": 677.66, "attrs": {} },
    { "type": "wokwi-flip-flop-d", "id": "flipflop3", "top": 92.15, "left": 673.59, "attrs": {} },
    { "type": "wokwi-gate-xor-2", "id": "gate9", "top": 327.27, "left": 585.29, "attrs": {} },
    { "type": "wokwi-gate-or-2", "id": "gate10", "top": 389.02, "left": 588.95, "attrs": {} },
    { "type": "wokwi-gate-and-2", "id": "gate11", "top": 415.43, "left": 474.35, "attrs": {} },
    { "type": "wokwi-gate-and-2", "id": "gate8", "top": 363.7, "left": 472.19, "attrs": {} },
    { "type": "wokwi-flip-flop-d", "id": "flipflop4", "top": 153.86, "left": 671.43, "attrs": {} },
    { "type": "wokwi-mux-2", "id": "mux2", "top": 61.13, "left": 187.7, "attrs": {} },
    { "type": "wokwi-mux-2", "id": "mux3", "top": 124.88, "left": 188.92, "attrs": {} },
    { "type": "wokwi-gate-buffer", "id": "gate22", "top": 306.93, "left": 239.21, "attrs": {} },
    { "type": "wokwi-gate-buffer", "id": "gate23", "top": 330.95, "left": 205.51, "attrs": {} },
    { "type": "wokwi-gate-buffer", "id": "gate24", "top": 375.05, "left": 206.6, "attrs": {} },
    { "type": "wokwi-gnd", "id": "pwr6", "top": 410.67, "left": 198.35, "attrs": {} },
    { "type": "wokwi-gate-xor-2", "id": "gate12", "top": 489.97, "left": 358.23, "attrs": {} },
    { "type": "wokwi-gate-xor-2", "id": "gate13", "top": 500.2, "left": 583.92, "attrs": {} },
    { "type": "wokwi-gate-or-2", "id": "gate14", "top": 561.95, "left": 587.58, "attrs": {} },
    { "type": "wokwi-gate-and-2", "id": "gate15", "top": 588.36, "left": 472.98, "attrs": {} },
    { "type": "wokwi-gate-and-2", "id": "gate16", "top": 536.63, "left": 470.82, "attrs": {} },
    { "type": "wokwi-gate-buffer", "id": "gate17", "top": 479.86, "left": 237.84, "attrs": {} },
    { "type": "wokwi-gate-buffer", "id": "gate18", "top": 503.88, "left": 204.14, "attrs": {} },
    { "type": "wokwi-gate-buffer", "id": "gate19", "top": 547.98, "left": 205.23, "attrs": {} },
    { "type": "wokwi-gate-xor-2", "id": "gate20", "top": 669.64, "left": 367.45, "attrs": {} },
    { "type": "wokwi-gate-xor-2", "id": "gate21", "top": 679.87, "left": 593.14, "attrs": {} },
    { "type": "wokwi-gate-or-2", "id": "gate25", "top": 741.62, "left": 596.8, "attrs": {} },
    { "type": "wokwi-gate-and-2", "id": "gate26", "top": 768.03, "left": 482.2, "attrs": {} },
    { "type": "wokwi-gate-and-2", "id": "gate27", "top": 716.3, "left": 480.04, "attrs": {} },
    { "type": "wokwi-gate-buffer", "id": "gate28", "top": 659.53, "left": 247.06, "attrs": {} },
    { "type": "wokwi-gate-buffer", "id": "gate29", "top": 683.55, "left": 213.36, "attrs": {} },
    { "type": "wokwi-gate-buffer", "id": "gate30", "top": 727.65, "left": 214.45, "attrs": {} }
  ],
  "connections": [
    [ "pwr1:VCC", "sw1:8a", "red", [ "v0" ] ],
    [ "pwr1:VCC", "sw1:7a", "red", [ "v0" ] ],
    [ "pwr1:VCC", "sw1:6a", "red", [ "v0" ] ],
    [ "pwr1:VCC", "sw1:5a", "red", [ "v0" ] ],
    [ "pwr1:VCC", "sw1:4a", "red", [ "v0" ] ],
    [ "pwr1:VCC", "sw1:1a", "red", [ "v0" ] ],
    [ "pwr1:VCC", "sw1:2a", "red", [ "v0" ] ],
    [ "pwr1:VCC", "sw1:3a", "red", [ "v0" ] ],
    [ "chip1:EXTIN1", "sw1:2b", "violet", [ "h0" ] ],
    [ "sw1:3b", "chip1:EXTIN2", "violet", [ "h0" ] ],
    [ "chip1:EXTIN3", "sw1:4b", "violet", [ "h0" ] ],
    [ "chip1:EXTIN4", "sw1:5b", "violet", [ "h0" ] ],
    [ "sw1:6b", "chip1:EXTIN5", "violet", [ "h0" ] ],
    [ "sw1:7b", "chip1:EXTIN6", "violet", [ "h0" ] ],
    [ "sw1:8b", "chip1:EXTIN7", "violet", [ "v0" ] ],
    [ "chip2:EXTOUT0", "sevseg1:A", "green", [ "h21.01", "v-28.8", "h96" ] ],
    [ "chip2:EXTOUT1", "sevseg1:B", "green", [ "h11.41", "v-48", "h115.2", "v38.4" ] ],
    [
      "chip2:EXTOUT2",
      "sevseg1:C",
      "green",
      [ "h30.61", "v-38.4", "h115.2", "v105.6", "h-28.8" ]
    ],
    [ "chip2:EXTOUT3", "sevseg1:D", "green", [ "h49.81", "v57.6", "h48" ] ],
    [ "sevseg1:E", "chip2:EXTOUT4", "green", [ "v9.6", "h-48", "v-38.4" ] ],
    [ "chip2:EXTOUT5", "sevseg1:F", "green", [ "h69.01", "v-57.6", "h28.8" ] ],
    [ "chip2:EXTOUT6", "sevseg1:G", "green", [ "h78.61", "v-57.6" ] ],
    [ "chip2:EXTOUT7", "sevseg1:DP", "green", [ "v28.8", "h136.21" ] ],
    [ "pwr2:GND", "sevseg1:COM.1", "black", [ "v0" ] ],
    [ "chip1:EXTIN0", "sw2:2", "green", [ "h0", "v-38.4", "h-19.2" ] ],
    [ "sw2:1", "clock1:CLK", "green", [ "v0" ] ],
    [ "sw2:3", "sw1:1b", "violet", [ "v0" ] ],
    [ "btn1:2.r", "sw2:3", "orange", [ "h90.6", "v49.8" ] ],
    [ "pwr3:VCC", "btn1:1.r", "red", [ "v0" ] ],
    [ "chip1:IN0", "flipflop1:CLK", "green", [ "h546.42", "v186.6" ] ],
    [ "chip1:IN0", "flipflop2:CLK", "green", [ "h545.18", "v239.73" ] ],
    [ "flipflop3:CLK", "chip1:IN0", "green", [ "h-25.57", "v-288.9" ] ],
    [ "gate3:OUT", "gate9:A", "green", [ "v0" ] ],
    [ "gate11:OUT", "gate10:B", "green", [ "v0" ] ],
    [ "gate8:OUT", "gate10:A", "green", [ "v0" ] ],
    [ "gate3:OUT", "gate8:A", "green", [ "v0" ] ],
    [ "flipflop4:CLK", "chip1:IN0", "green", [ "h-24.37", "v-355.38" ] ],
    [ "flipflop4:Q", "chip2:OUT7", "green", [ "v2.25", "h55.05", "v-266.93" ] ],
    [ "flipflop1:Q", "mux1:A", "green", [ "v-29.4", "h-3.4" ] ],
    [ "flipflop2:Q", "mux2:A", "green", [ "v-17.71", "h-152.06", "v42.39", "h3.18" ] ],
    [ "flipflop3:Q", "mux3:A", "green", [ "v-25.16", "h-147.99", "v43.45", "h-436.63" ] ],
    [ "chip1:IN2", "mux1:B", "green", [ "h74.83", "v170.9" ] ],
    [ "chip1:IN3", "mux2:B", "green", [ "h68.96", "v244.63", "h3.61" ] ],
    [ "mux3:B", "chip1:IN4", "green", [ "h-27", "v-9.13" ] ],
    [ "chip1:IN1", "mux1:SEL", "green", [ "h135.7", "v7.69" ] ],
    [ "chip1:IN1", "mux2:SEL", "green", [ "h0" ] ],
    [ "chip1:IN1", "mux3:SEL", "green", [ "h0" ] ],
    [ "gate22:OUT", "gate3:A", "green", [ "v0" ] ],
    [ "gate22:OUT", "gate11:A", "green", [ "v98.17", "h139.14" ] ],
    [ "gate23:OUT", "gate3:B", "green", [ "h20.38", "v-4.31" ] ],
    [ "gate23:OUT", "gate11:B", "green", [ "h22.3", "v94.08" ] ],
    [ "gate24:OUT", "gate8:B", "green", [ "v0" ] ],
    [ "gate24:OUT", "gate9:B", "green", [ "v0" ] ],
    [ "gate9:OUT", "flipflop1:D", "green", [ "v-34.99", "h-107.45", "v-330.3" ] ],
    [ "mux1:OUT", "gate22:IN", "green", [ "v1", "h69.77", "v291.78", "h-118.45" ] ],
    [ "chip1:IN5", "gate23:IN", "green", [ "h45.38", "v8.68" ] ],
    [ "pwr6:GND", "gate24:IN", "black", [ "v0" ] ],
    [ "gate12:OUT", "gate13:A", "green", [ "v0" ] ],
    [ "gate15:OUT", "gate14:B", "green", [ "v0" ] ],
    [ "gate16:OUT", "gate14:A", "green", [ "v0" ] ],
    [ "gate12:OUT", "gate16:A", "green", [ "v0" ] ],
    [ "gate17:OUT", "gate12:A", "green", [ "v0" ] ],
    [ "gate17:OUT", "gate15:A", "green", [ "v98.17", "h139.14" ] ],
    [ "gate18:OUT", "gate12:B", "green", [ "h20.38", "v-4.31" ] ],
    [ "gate18:OUT", "gate15:B", "green", [ "h22.3", "v94.08" ] ],
    [ "gate19:OUT", "gate16:B", "green", [ "v0" ] ],
    [ "gate19:OUT", "gate13:B", "green", [ "v0" ] ],
    [ "gate20:OUT", "gate21:A", "green", [ "v0" ] ],
    [ "gate26:OUT", "gate25:B", "green", [ "v0" ] ],
    [ "gate27:OUT", "gate25:A", "green", [ "v0" ] ],
    [ "gate20:OUT", "gate27:A", "green", [ "v0" ] ],
    [ "gate28:OUT", "gate20:A", "green", [ "v0" ] ],
    [ "gate28:OUT", "gate26:A", "green", [ "v98.17", "h139.14" ] ],
    [ "gate29:OUT", "gate20:B", "green", [ "h20.38", "v-4.31" ] ],
    [ "gate29:OUT", "gate26:B", "green", [ "h22.3", "v94.08" ] ],
    [ "gate30:OUT", "gate27:B", "green", [ "v0" ] ],
    [ "gate30:OUT", "gate21:B", "green", [ "v0" ] ],
    [
      "gate17:IN",
      "mux2:OUT",
      "green",
      [ "v-0.76", "h-52.09", "v-215.71", "h151.19", "v-205.12" ]
    ],
    [ "mux3:OUT", "gate28:IN", "green", [ "v-0.16", "h35.65", "v129.04", "h-148.3", "v390.97" ] ],
    [ "gate18:IN", "chip1:IN6", "green", [ "v1.22", "h-67.5", "v-650.98" ] ],
    [ "chip1:IN7", "gate29:IN", "green", [ "v0.08", "h23.23", "v818.54" ] ],
    [ "gate10:OUT", "gate19:IN", "green", [ "v56.37", "h-483.79", "v14.44" ] ],
    [ "gate14:OUT", "gate30:IN", "green", [ "v68.33", "h-491.08", "v101.11", "h0.96" ] ],
    [
      "gate13:OUT",
      "flipflop2:D",
      "green",
      [ "v1.05", "h21.03", "v-225.34", "h-111.71", "v-260.97" ]
    ],
    [ "flipflop3:D", "gate21:OUT", "green", [ "h-70.86", "v179.88", "h111.71", "v24.07" ] ],
    [
      "gate25:OUT",
      "flipflop4:D",
      "green",
      [ "v-0.59", "h36.08", "v-490.16", "h-113.63", "v-111.71" ]
    ],
    [ "flipflop1:Q", "chip2:OUT0", "green", [ "v0" ] ],
    [ "flipflop2:Q", "chip2:OUT6", "green", [ "v0" ] ],
    [ "chip2:OUT3", "flipflop3:Q", "green", [ "h-233.03", "v98.74" ] ]
  ]
}
10k
Digital InputBreakout
Digital OutputBreakout