# Tiny Tapeout 4 Template Project

TinyTapeout is an educational project that makes it easier and cheaper
than ever to get your digital designs manufactured on a real chip.

Wokwi provides an easy way to create digital designs for Tiny Tapeout. 
You create a design out of individual logic gates, and simulate them 
with Wokwi to observe the result.

When your design is ready, you can submit it for manufacturing on a 
physical chip with Tiny Tapeout.

To learn more, follow the tutorial at https://tinytapeout.com/digital_design/

Note: when creating your own project, please replace this text with information
about your projects: what it does and how to use it.
Loading
tt-block-input
Loading
tt-block-output
Loading
tt-block-bidirectional-io
Loading
tt-block-bidirectional-io
Loading
tt-block-bidirectional-io
Loading
tt-block-bidirectional-io
Loading
tt-block-bidirectional-io
Loading
tt-block-bidirectional-io
Loading
tt-block-bidirectional-io
Loading
tt-block-bidirectional-io
SR
SR
SR
SR
SR
SR
SR
SR
SR

ERC Warnings

flop1:CLK: Clock driven by combinatorial logic
flop2:CLK: Clock driven by combinatorial logic
flop3:CLK: Clock driven by combinatorial logic
flop4:CLK: Clock driven by combinatorial logic
flop5:CLK: Clock driven by combinatorial logic
flop7:CLK: Clock driven by combinatorial logic
flop8:CLK: Clock driven by combinatorial logic
flop9:CLK: Clock driven by combinatorial logic
flop6:CLK: Clock driven by combinatorial logic