\# Tiny Tapeout 5 Template Project

TinyTapeout is an educational project that makes it easier and cheaper
than ever to get your digital designs manufactured on a real chip.

Wokwi provides an easy way to create digital designs for Tiny Tapeout. 
You create a design out of individual logic gates, and simulate them 
with Wokwi to observe the result.

When your design is ready, you can submit it for manufacturing on a 
physical chip with Tiny Tapeout.

To learn more, follow the tutorial at https://tinytapeout.com/digital_design/

Note: when creating your own project, please replace this text with information
about your projects: what it does and how to use it.
10k
Loading
tt-block-bidirectional-io
Loading
tt-block-bidirectional-io
Loading
tt-block-bidirectional-io
Loading
tt-block-bidirectional-io
Loading
tt-block-bidirectional-io
Loading
tt-block-bidirectional-io
Loading
tt-block-bidirectional-io
Loading
tt-block-bidirectional-io
Bidirectional I/O pins
SR
SR
SR
SR
SR
SR
SR
SR
SR
SR
SR
SR
SR
SR
SR
SR
SR
SR
SR
SR
SR
SR
SR
SR
SR
SR
SR
SR
SR
SR
SR
SR
SR
SR
SR
SR

ERC Warnings

flop10:CLK: Clock driven by combinatorial logic
flop12:CLK: Clock driven by combinatorial logic
flop15:CLK: Clock driven by combinatorial logic
flop19:CLK: Clock driven by combinatorial logic
flop24:CLK: Clock driven by combinatorial logic
flop30:CLK: Clock driven by combinatorial logic
flop37:CLK: Clock driven by combinatorial logic